专利摘要:
本發明揭示一種熔絲裝置及製作該熔絲裝置之方法。在一實施例中,該熔絲裝置包括一第一接點及一第二接點連接一金屬-半導體合金層,其中該金屬-半導體合金層在該第一接點及該第二接點間連續延伸。該金屬-半導體合金層位於基板之鰭狀結構上方之一磊晶層上方。
公开号:TW201318142A
申请号:TW101120853
申请日:2012-06-11
公开日:2013-05-01
发明作者:Min-Chang Liang;Shien-Yang Wu;Wei-Chang Kung
申请人:Taiwan Semiconductor Mfg;
IPC主号:H01L21-00
专利说明:
熔絲裝置、積體電路裝置及製作方法
本發明係有關於一種熔絲裝置及熔絲裝置之製作方法。
熔絲(fuses)被廣泛應用於積體電路裝置,特別是積體電路記憶體裝置,用以提供自由裁量的(discretionary)電性連接。舉例而言,在動態或靜態記憶體晶片中,缺陷記憶單元(defective memory cell)或電路可藉由選擇性熔斷(selective blowing/destroying)上述缺陷電路的熔絲並啟動冗餘電路(redundant circuitry)來取代。熔絲亦用於編寫邏輯晶片之函數及程式碼,及編寫記憶體晶片之冗餘列(redundant row)。為提供此自由裁量的電性連接,熔絲包括一藉由流經熔絲的電流或外部熱源如雷射光束熔斷(blown)之熔絲單元(fuse element)。舉例而言,熔絲單元可為一多晶矽熔絲單元,提供期望的寫入電流(熔斷熔絲單元所需流經熔絲單元之電流)。然而,隨著裝置製程技術節點的下降及高介電常數金屬閘極技術(high-k/metal gate technology)的實現(分別以一高介電常數介電層及一金屬閘極取代閘極介電層及多晶矽閘極),多晶矽熔絲單元已經被金屬熔絲單元取代,如銅熔絲單元,因為多晶矽熔絲單元製程與高介電常數金屬閘極製程不相容。雖然銅熔絲單元製程與高介電常數金屬閘極製程相容,但銅熔絲單元需要一高於期望值的寫入電流,通常比多晶矽熔絲單元高數倍。此外,銅熔絲單元的特性可能在後續製程中被更動。因此,雖然已有普遍適用之熔絲及製作方法,仍無法完全滿足各方面的需求。
本發明一實施例提供一種熔絲裝置,包括:一半導體基板;一鰭狀結構位於該半導體基板上方,其中該鰭狀結構包括至少二鰭狀翼位於該基板上方;一磊晶半導體特徵位於各個該至少二鰭狀翼上方;一金屬-半導體合金特徵位於各個該至少二鰭狀翼之磊晶半導體特徵上方;以及一第一接點及一第二接點連接該金屬-半導體合金特徵,其中該金屬-半導體合金特徵於該第一接點及該第二接點間連續延伸。
本發明另一實施例提供一種積體電路裝置,包括:一熔絲裝置,其包括一第一接點及一第二接點連接一金屬-半導體合金層,其中該金屬-半導體合金層於該第一接點及該第二接點間連續延伸,其中該金屬-半導體合金層更位於一磊晶層上方,且該磊晶層位於一基板之鰭狀結構上方。
本發明又一實施例提供一種熔絲裝置的製作方法,包括:形成複數個鰭狀翼於一基板上;形成一磊晶層於上述各個該複數鰭狀翼上方;形成一金屬-半導體合金特徵於該磊晶層上方;以及形成一第一接點及一第二接點連接該金屬-半導體合金特徵,其中該金屬-半導體合金特徵在該第一接點及該第二接點間連續延伸。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
本發明提供數種不同實施態樣(或實施例),以具體化本發明之不同特徵。元件與配置方式的特定實施例如下述,用以簡化本發明。這些實施例為極少數且發明不侷限於此。舉例而言,在描述在一第一特徵上形成一第二特徵時,可能包括第一特徵與第二特徵直接接觸的實施方式,也可能包括在第一特徵與第二特徵之間有形成其他特徵,而不直接接觸的實施方式。此外,本發明之揭示內容可能在不同實施例中使用重複的參考數字及/或字母,該重複是為了簡化,並不代表這些實施例及/或討論之圖示彼此具有關係。
第1A圖為根據本發明數種層面所作之熔絲裝置200一部或全部的俯視圖,第1B圖為熔絲裝置200沿第1A圖中1B-1B線所作之剖面圖,第1C圖為熔絲裝置200沿第1A圖中1C-1C線所作之剖面圖,第1D圖為熔絲裝置200沿第1A圖中1D-1D線所作之剖面圖。熔絲裝置200為一以鰭式場效電晶體(fin-like field effect transistor,FinFET)為基礎之金屬-半導體合金熔絲裝置。「鰭式場效電晶體」一詞意指任何以鰭狀翼為基礎,單一或多重閘極的電晶體。上述以鰭式場效電晶體(fin-like field effect transistor,FinFET)為基礎之金屬-半導體合金熔絲裝置200可被包含於一微處理器、記憶體單元及/或其他積體電路裝置。第1A-1D圖會同時討論,並且為使本發明概念簡明易懂已經過簡化。此外,額外的特徵可加入熔絲裝置200,且在上述熔絲裝置之其它實施例中,部份下述特徵可被取代或排除。
金屬-半導體合金特徵熔絲裝置200具有一在第一方向的尺寸,及一在第二方向、不同於第一方向的尺寸。舉例而言,熔絲裝置200具有一長度L及一寬度W。熔絲裝置200包括一基板(晶圓)210。在述及之實施例中,基板210為一矽基板。基板210可替代或額外地包括一元素半導體(elementary semiconductor),如鍺;一化合物半導體(compound semiconductor),如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;或上述任意組合。基板210為一絕緣層上矽晶(silicon-on-insulator,SOI)基板。或者,上述絕緣層上矽晶基板可使用注氧隔離(separation by implantation of oxygen,SIMOX)、晶圓接合(wafer bonding)及/或其它適當方法製作。基板210可包括數種摻雜區域及其他適當特徵。
鰭狀結構包括由基板210延伸出之鰭狀翼212。熔絲裝置200可依設計需求包括較多或較少鰭狀翼212。在述及之實施例中,鰭狀翼212為基板210之延伸且包括矽。鰭狀翼212可包括其他材料部份。上述鰭狀結構以一微影及蝕刻製程形成,舉例而言,由基板210開始,一微影及蝕刻製程在基板210上形成溝渠(trenches),因此形成由基板210延伸出之鰭狀翼212。上述微影製程可包括光阻塗佈(如旋塗)、軟烤、光罩對準、曝光、曝光後烘烤、顯影、沖洗、乾燥(如硬烤)、其他適當製程或上述任意組合。或者,上述黃光微影製程可由其他方法實施或取代,如無光罩微影技術(maskless lithography)、電子束寫入(electron-beam writing)、離子束寫入(ion-beam writing)及/或奈米壓印技術(nanoimprint technology)。蝕刻製程包括一乾蝕刻製程、濕蝕刻製程、其它適當蝕刻製程或上述任意組合。在一實施例中,鰭狀翼212使用以下方式形成:在基板210上形成一光阻層,曝光上述光阻以形成圖樣(pattern),顯影上述光阻以形成一包括光阻之罩幕單元(masking element)。上述罩幕單元可用於將基板210蝕刻為鰭狀翼212,如使用一反應性離子蝕刻(reactive ion etch,RIE)。鰭狀翼212可使用一雙圖樣微影(double-patterning lithography,DPL)製程形成。雙圖樣微影為一種藉由將圖樣分割為交錯圖樣以在基板上建立圖樣的方法。雙圖樣微影可提高特徵(例如鰭狀翼)密度。數種可用之雙圖樣微影研究方法包括雙重曝光(如使用二組光罩)、光阻冷凍(resist freezing)、其它適當製程或上述任意組合。
隔離特徵220,如淺溝渠隔離(shallow trench isolation,STI)結構及/或區域氧化法(local oxidation of silicon,LOCOS)結構,圍繞鰭狀結構(例如述及實施例之鰭狀結構212)且使鰭狀結構212彼此相互隔離。熔絲裝置200為一微處理器、記憶體單元或其它積體電路裝置的一部份,隔離特徵220可隔離熔絲裝置200與其它裝置及/或上述微處理器、記憶體單元或其它積體電路裝置的其它特徵。隔離特徵220包括矽氧化物、矽氮化物、矽氮氧化物、其它適當材料或上述任意組合。在述及之實施例中,隔離特徵220包括一氧化物材料,如矽氧化物。充填之溝渠可具有多層結構,例如一充填有矽氮化物之熱氧化物襯墊層(thermal oxide liner layer)。隔離特徵220可使用任意適當製程形成,舉例而言,在述及之實施例中,可藉由將絕緣材料一部或全部充填蝕刻基板210以形成鰭狀翼212之溝渠部份來形成隔離特徵220。
一磊晶特徵230位於鰭狀結構之各個鰭狀翼212上,在述及之實施例中,磊晶特徵230相互合併以形成一連續磊晶特徵235。磊晶特徵230藉由在鰭狀翼212露出部份磊晶成長一半導體材料而形成,在述及之實施例中,是以磊晶製程磊晶成長上述半導體材料,直到鰭狀翼212之磊晶特徵230相互合併形成連續磊晶特徵235。上述磊晶製程可使用化學氣相沈積(CVD)技術(例如氣相磊晶法(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沈積法(ultra-high vacuum CVD,UHV-CVD)、分子束磊晶法(molecular beam epitaxy)、其它適當製程或上述任意組合)。上述磊晶製程可使用氣相及/或液相前驅物。在述及之實施例中,磊晶特徵230(及連續磊晶特徵235)包括以一矽鍺化物磊晶製程形成之矽化鍺(SiGe),矽化鍺可減少對基板210之熱傳導(heat transfer)。或者,磊晶特徵230(連續磊晶特徵235)包括其它磊晶材料,此磊晶材料可由具有期望之熱特性(例如低的熱傳導(thermal conductivity))的磊晶材料中選擇。舉例而言,選擇的磊晶材料可展現耐熱性(thermal resistance),降低對基板210之熱傳導。磊晶特徵230(連續磊晶特徵235)可藉由在沈積(成長)時加入雜質至磊晶製程之原料(source material)或在沈積成長製程後使用離子佈植製程以摻雜,摻雜之磊晶層可具有一摻雜濃度梯度(gradient doping profile)。可使用一化學機械研磨(chemical mechanical polishing,CMP)製程磨平磊晶特徵230(連續磊晶特徵235)。
一金屬-半導體合金特徵240位於磊晶特徵230上方,在述及之實施例中,位於連續磊晶特徵235上方。在述及之實施例中,金屬-半導體合金特徵240包括一矽化物材料,如鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、其它適當矽化物或上述任意組合。金屬-半導體合金特徵240可使用矽化製程形成,如一自對準金屬矽化物(self-align silicide(salicide))製程。
接點250位於金屬-半導體合金特徵240上方並與其電性連接。金屬-半導體合金特徵240在接點250之間連續延伸不間斷,且金屬-半導體合金特徵240作為熔絲裝置200之一熔絲元件。接點250包括一導電性材料,如鋁、銅、鈦、鉭、鎢、其它導電材料、上述任意合金或上述任意組合。在述及之實施例中,接點250之一為熔絲裝置200之陽極,接點250之一為熔絲裝置200之陰極。
操作時,接點250受偏壓(施加一電壓於其上)使電流流入作為陽極之接點250,經金屬-半導體合金特徵240流至作為陰極之接點250。加偏壓至接點250而達到熔絲裝置200之寫入電流時,一不連續性發生在金屬-半導體合金特徵240,防止電流由陽極經過金屬-半導體合金特徵240流至陰極而熔斷熔絲裝置200。舉例而言,此不連續性可能發生在當部份金屬-半導體合金特徵240受電流所產生的熱而熔化時。操作時,由陽極經金屬-半導體合金特徵240流向陰極的電流所產生的熱,大部分受到磊晶特徵230(在述及之實施例中,連續磊晶特徵235)及隔離特徵220的限制而不流入基板210。此熱能上的限制可確保熔絲裝置200在所設計的寫入電流下熔斷。
熔絲裝置200的熔絲元件及金屬-半導體合金特徵240的特性可與用於傳統熔絲裝置中的多晶矽熔絲元件相似。舉例而言,與具有多晶矽熔絲元件的熔絲裝置相似,具有金屬-半導體合金特徵240(金屬-半導體合金熔絲元件)的熔絲裝置200可在比傳統使用銅熔絲元件之熔絲裝置低的寫入電流下運作。具體而言,已觀察到熔絲裝置200的寫入電流比具有銅熔絲元件之熔絲裝置的寫入電流低一個數量級。(例如具有金屬-半導體合金熔絲元件之熔絲裝置的寫入電流可為約2.00×10-3A(amps),而具有銅熔絲元件之熔絲裝置的寫入電流則為約1.75×10-2A。)在述及之實施例中,如上所述,磊晶特徵230(連續磊晶特徵235)藉由防止或降低操作時生成的熱流向基板210,以維持具有金屬-半導體合金特徵240之熔絲裝置200(金屬-半導體合金熔絲元件)較低的寫入電流。此外,如下所述,提供期望之寫入電流時,具有金屬-半導體合金特徵240之熔絲裝置200(金屬-半導體合金熔絲元件)比具有多晶矽熔絲元件之熔絲裝置容易實施於高介電常數金屬閘極製程。熔絲裝置200因此在達到如具有多晶矽熔絲單元熔絲裝置所達到之期望操作特性時,同時也達到如具有銅熔絲單元的熔絲裝置之與傳統製程的相容性。更甚者,相較於具有銅熔絲單元之熔絲裝置,具有金屬-半導體合金特徵240之熔絲裝置200(金屬-半導體合金熔絲元件)可在後續製程及最佳化時維持其特性,因而減少在後續製程步驟時可能的設計改變。不同實施例可具有不同優點,且任意實施例無特定必要之優點。
第2A圖為依據本發明各種層面所作之熔絲裝置300一部或全部俯視圖,第2B圖為第2A圖中熔絲裝置300沿2B-2B線所作之剖面圖,第2C圖為第2A圖中熔絲裝置300沿2C-2C線所作之剖面圖,第2D圖為第2A圖中熔絲裝置300沿2D-2D線所作之剖面圖。第2A-2D圖會同時討論,且為明確瞭解本發明概念已經過簡化。第2A-2D圖之實施例與第1A-1D圖之實施例在各種層面上相似,因此為明確及簡化,在第1A-1D圖與第2A-2D圖中相似的特徵以相同的參考數字來表示。在熔絲裝置300中,磊晶特徵230不相互合併以形成連續磊晶特徵235。然而,磊晶特徵230彼此接近,使金屬-半導體合金特徵形成於磊晶特徵230(亦被稱為島狀結晶)上且相互合併形成金屬-半導體合金特徵240,在接點250間連續延伸不間斷。在一實施例中,相鄰磊晶特徵230間的距離小於或等於約10奈米。額外的特徵可被加入熔絲裝置300,下述的部份特徵在熔絲裝置300其它實施例中可被取代或排除。
第3A圖為依據本發明各種層面所作之熔絲裝置400一部或全部俯視圖,第3B圖為第3A圖中熔絲裝置400沿3B-3B線所作之剖面圖,第3C圖為第3A圖中熔絲裝置400沿3C-3C線所作之剖面圖,第3D圖為第3A圖中熔絲裝置400沿3D-3D線所作之剖面圖。第3A-3D圖會同時討論,且為明確瞭解本發明概念已經過簡化。第3A-3D圖之實施例與第1A-1D圖之實施例在各種層面上相似,因此為明確及簡化,在第1A-1D圖與第3A-3D圖中相似的特徵以相同的參考數字來表示。額外的特徵可被加入熔絲裝置400,下述之部份特徵在熔絲裝置400其它實施例中可被取代或排除。
在熔絲裝置400中,閘極結構450控制或定義熔絲裝置400的寬度。閘極結構450橫跨鰭狀翼212,在述及之實施例中,橫跨鰭狀翼212至少二部份,使製作時金屬-半導體合金特徵240在閘極結構450間形成。熔絲裝置400的寬度可因此小於現行製程可達到的寬度,例如小於微影製程允許之解析度極限(resolution limit)。熔絲裝置400可被包含於微處理器、記憶體單元或其它積體電路裝置,閘極結構450為可與上述微處理器、記憶體單元或其它積體電路裝置的其它元件閘極結構同時形成之虛設閘極(dummy gate)結構。閘極結構450以一適當製程形成,包括沉積製程、微影圖案化(lithography patterning)製程、蝕刻製程、其它適當製程或上述任意組合。上述沈積製程包括化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(PVD)、原子層沈積(atomic layer deposition,ALD)、高密度電漿化學氣相沈積(high density plasma CVD,HDPCVD)、有機金屬化學氣相沈積(metal organic CVD,MOCVD)、減壓化學氣相沈積(remote plasma CVD,RPCVD)、電漿輔助化學氣相沈積(plasma enhanced CVD,PECVD)、低壓化學氣相沈積(low-pressure CVD,LPCVD)、原子層化學氣相沈積(atomic layer CVD,ALCVD)、常壓化學氣相沈積(atmospheric pressure CVD,APCVD)、電鍍、其他適當方法或上述任意組合。上述微影圖案化製程包括光阻塗佈(例如旋塗)、軟烤、光罩對準、曝光、曝光後烘烤、顯影、沖洗、烘乾(例如硬烤)、其他適當製程或上述任意組合。或者,上述微影曝光製程可以其他方法實施或取代,如無光罩微影技術、電子束寫入或離子束寫入。又或者,上述微影圖案化製程可實行奈米壓印技術。上述蝕刻製程包括乾蝕刻、濕蝕刻、其它蝕刻方法或上述任意組合。
閘極結構450包括一閘極堆疊,其包括閘極介電層452及一閘極454。上述閘極結構450之閘極堆疊可包括複數其它層,例如覆蓋層、界面層、擴散層、阻障層、硬罩幕層或上述任意組合。閘極介電層452包括一介電材料,如矽氧化物、高介電常數介電材料、其它適當介電材料或上述任意組合。高介電常數介電材料的範例包括二氧化鉿(HfO2)、矽鉿氧化物(HfSiO)、矽氮氧化鉿(HfSiON)、鉿鉭氧化物(HfTaO)、鈦鉿氧化物(HfTiO)、鋯鉿氧化物(HfZrO)、二氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其它適當高介電常數介電材料或上述任意組合。上述閘極454包括多晶矽及/或一金屬包括鋁、銅、鈦、鉭、鎢、鉬、氮鉭化物、氮矽化物、鈷矽化物、鈦氮化物、鎢氮化物、鈦鋁化物、鈦鋁氮化物、鉭碳氮化物、鉭碳化物、鉭矽氮化物、其它導電材料或上述任意組合。在述及之實施例中,上述閘極254包括金屬,其形成於一後閘極製程(gate last process)。
上述閘極結構更包括間隔物(spacer)456。上述間隔物456位於閘極結構450之閘極堆疊側壁,例如沿著上述閘極堆疊452及閘極454之側壁。上述間隔物456包括一介電材料,例如矽氧化物、矽氮化物、矽氮氧化物、其它適當材料或上述任意組合。上述間隔物456可包括一多層結構,如一包括矽氮化物層及矽氧化物層之多層結構。數個間隔物以一適當製程形成至一適當厚度,例如在述及之實施例中,間隔物456可使用沈積一矽氮化物層後乾蝕刻此層以形成間隔物456的方式形成,如第3C及3D圖所示。
第4圖為根據本發明各種層面所作之熔絲裝置500一部或全部俯視圖。第4圖之實施例在各種層面與第1A-1D、2A-2D及3A-3D圖之實施例相似。因此為明確及簡化,在第1A-1D圖、第2A-2D圖、第3A-3D圖與第4圖中相似的特徵以相同的參考數字來表示。在述及之實施例中,熔絲裝置500具有一不對稱的形狀,舉例而言,熔絲裝置500具有一第一部份500A及一第二部份500B,其中上述接點250之一位於第一部份500A上方且與其連接,上述接點250之一位於第二部份500B上方且與其連接。第一部份500A的寬度(W1)小於第二部份500B的寬度(W2),製作時,閘極結構450可形成以定義第一部份之寬度(W1),如述及之實施例。操作時,熔絲裝置500的不對稱形狀可形成一溫度梯度,使熔絲裝置500易於熔斷。例如當一電流流經介於接點250間之上述金屬-半導體合金特徵240,因為第一部份500A的寬度(W1)小於第二部份500B的寬度(W2),第一部份500A的電流密度會大於第二部份500B的電流密度,使在第一部份500A中的熱能(及溫度)大於第二部份500B中的熱能(及溫度)。此溫度梯度可提供改良的可寫入性,如改良之寫入電流熔斷熔絲裝置500的能力。要注意的是熔絲裝置500的不對稱形狀僅為一例,可達到期望之可寫入性的其它熔絲裝置的不對稱形狀也包含在本發明的範圍。額外特徵可被加入熔絲裝置500,下述部份特徵在熔絲裝置500其它實施例中可被取代或排除。
第5圖為根據本發明各種層面所作之積體電路裝置製作方法600的流程圖。在述及之實施例中,方法600可製作一積體電路裝置,其包括一熔絲裝置,特別是一以鰭式場效電晶體為基礎之金屬-半導體合金熔絲裝置,例如第1A-1D、2A-2D、3A-3D圖及第4圖中的熔絲裝置200、300、400及500。方法600開始於步驟610形成複數鰭狀翼於一基板上,此基板可與基板210相似且此鰭狀翼可與鰭狀翼212相似。在步驟620,形成一磊晶層於上述複數鰭狀翼上方,此磊晶層可與磊晶特徵230(或連續磊晶特徵235)相似。在步驟630,形成一金屬-半導體合金特徵於上述磊晶層上方,此金屬-半導體合金特徵可與金屬-半導體合金特徵240相似。在步驟640,形成一第一接點及一第二接點連接上述金屬-半導體合金特徵,其中此金屬-半導體合金特徵在第一接點及第二接點間連續延伸。此第一及第二接點可與接點250相似。方法600可繼續以完成積體電路裝置的製作。額外步驟可在方法600之前、之中或之後提供,且上述部份步驟在方法600其它實施例中可被取代或排除。舉例而言,在磊晶層於複數鰭狀翼上形成前,可形成閘極結構橫跨此複數鰭狀翼。此閘極結構可與閘極結構450相似。上述金屬-半導體合金特徵可因此被限制而形成在閘極結構之間。在一實施例中,閘極結構包括一閘極介電層,例如一高介電常數介電層,及一虛設閘極,例如一多晶矽閘極。根據此實施例,虛設閘極可在金屬-半導體合金特徵形成後以一金屬閘極取代。
需要注意的是,方法600可在形成上述積體電路裝置的其它裝置時同時形成此處所述之熔絲裝置。舉例而言,方法600可同時形成上述以鰭式場效電晶體為基礎之金屬-半導體合金熔絲裝置及積體電路裝置的鰭式場效電晶體裝置。此處所述之熔絲裝置因此與傳統互補式金氧半場效電晶體(complementary metal-oxide-semiconductor,CMOS)製程相容,特別是高介電常數/金屬閘極互補式金氧半場效電晶體的製程。因此,在積體電路裝置製造過程實施此處所述的熔絲裝置對製造成本及製造時間無負面影響。不同實施例可具有不同優點,且任意實施例無特定必要之優點。
本發明提供數個不同實施例。在一實施例中,一裝置包括一半導體基板;一鰭狀結構位於上述半導體基板上方,其中上述鰭狀結構包括至少二鰭狀翼位於基板上方;一磊晶半導體特徵位於上述各個至少二鰭狀翼上方;一金屬-半導體合金特徵位於上述各個至少二鰭狀翼之磊晶半導體特徵上方;以及一第一接點及一第二接點連接上述金屬-半導體合金特徵,其中此金屬-半導體合金特徵於上述第一接點及上述第二接點間連續延伸。位於上述各個至少二鰭狀翼上方之磊晶半導體特徵可相互合併以形成一連續磊晶特徵位於上述至少二鰭狀翼上方。上述磊晶半導體特徵可包括矽鍺化物,金屬-半導體合金特徵可包括一矽化物材料,半導體基板可為一絕緣層上矽晶基板。金屬-半導體合金特徵之寬度可由上述至少二閘極結構所定義,上述各個至少二閘極結構橫跨上述至少二鰭狀翼。在一實施例中,上述各個至少二閘極結構包括一閘極堆疊,其具有一閘極介電層位於上述至少二鰭狀翼上方,及一閘極,位於上述閘極介電層上方。此閘極介電層可為一高介電常數介電層,且此閘極可為一金屬閘極。
在另一實施例中,一熔絲裝置包括一第一接點及一第二接點連接一金屬-半導體合金層,其中此金屬-半導體合金層於第一接點及第二接點間連續延伸。此金屬-半導體合金層位於一基板之鰭狀結構上方之磊晶層上方。在一實施例中,上述基板及鰭狀結構包括矽,磊晶層包括矽鍺化物,金屬-半導體合金層包括一矽化物材料,例如鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物或上述任意組合。上述磊晶層可於鰭狀結構之鰭狀翼間連續延伸,此磊晶層可包括鰭狀結構之鰭狀翼的間隙,金屬-半導體合金層至少部份填滿此間隙。上述金屬-半導體合金特徵可位於橫跨鰭狀結構之鰭狀翼的閘極結構之間。在一實施例中,金屬-半導體合金特徵具有一第一部份,其具有第一寬度,及一第二部份,其具有第二寬度,此第二寬度大於第一寬度,其中第一接點連接第一部份,第二接點連接第二部份。此金屬-半導體合金特徵之第一部份可位於橫跨鰭狀結構之鰭狀翼的閘極結構之間,使第一部份之第一寬度由閘極結構所定義。
在又一實施例中,一方法包括形成複數鰭狀翼於一基板上;形成一磊晶層於上述複數鰭狀翼上方;形成一金屬-半導體合金特徵於上述磊晶層上方;以及形成一第一接點及一第二接點連接上述金屬-半導體合金特徵,其中此金屬-半導體合金特徵在第一接點及第二接點間連續延伸。上述形成一磊晶層於複數鰭狀翼上方可包括磊晶成長一半導體材料於各個複數鰭狀翼上,直到各個複數鰭狀翼上之半導體材料相互合併以形成一連續磊晶層。上述方法可更包括在形成磊晶層前先形成橫跨複數鰭狀翼之閘極結構。上述方法可更包括在形成金屬-半導體合金特徵後,以一金屬閘極取代虛設閘極。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
200、300、400、500‧‧‧熔絲裝置
210‧‧‧基板
212‧‧‧鰭狀翼
220‧‧‧隔離特徵
230‧‧‧磊晶特徵
235‧‧‧連續磊晶特徵
240‧‧‧金屬-半導體合金特徵
250‧‧‧接點
450‧‧‧閘極結構
452‧‧‧閘極介電層
454‧‧‧閘極
456‧‧‧間隔物
500A‧‧‧熔絲裝置第一部份
500B‧‧‧熔絲裝置第二部份
600‧‧‧方法
610、620、630、640‧‧‧步驟
L‧‧‧熔絲裝置之長度
W‧‧‧熔絲裝置之寬度
W1‧‧‧第一部份之寬度
W2‧‧‧第二部份之寬度
第1A圖為根據本發明一實施例,所作一熔絲裝置俯視圖。
第1B圖為第1A圖中熔絲裝置沿1B-1B線所作之剖面圖。
第1C圖為第1A圖中熔絲裝置沿1C-1C線所作之剖面圖。
第1D圖為第1A圖中熔絲裝置沿1D-1D線所作之剖面圖。
第2A圖為根據本發明另一實施例所作之熔絲裝置俯視圖。
第2B圖為第2A圖中熔絲裝置沿2B-2B線所作之剖面圖。
第2C圖為第2A圖中熔絲裝置沿2C-2C線所作之剖面圖。
第2D圖為第2A圖中熔絲裝置沿2D-2D線所作之剖面圖。
第3A圖為根據本發明又一實施例所作之熔絲裝置俯視圖。
第3B圖為第3A圖中熔絲裝置沿3B-3B線所作之剖面圖。
第3C圖為第3A圖中熔絲裝置沿3C-3C線所作之剖面圖。
第3D圖為第3A圖中熔絲裝置沿3D-3D線所作之剖面圖。
第4圖為根據本發明各種層面,所作之本發明又一實施例之熔絲裝置俯視圖。
第5圖為根據本發明各種層面,所作之熔絲裝置製作方法流程圖。
200‧‧‧熔絲裝置
210‧‧‧基板
212‧‧‧鰭狀翼
220‧‧‧隔離特徵
230‧‧‧磊晶特徵
235‧‧‧連續磊晶特徵
240‧‧‧金屬-半導體合金特徵
250‧‧‧接點
权利要求:
Claims (10)
[1] 一種熔絲裝置,包括:一半導體基板;一鰭狀結構位於該半導體基板上方,其中該鰭狀結構包括至少二鰭狀翼位於該基板上方;一磊晶半導體特徵位於各個該至少二鰭狀翼上方;一金屬-半導體合金特徵位於各個該至少二鰭狀翼之磊晶半導體特徵上方;以及一第一接點及一第二接點連接該金屬-半導體合金特徵,其中該金屬-半導體合金特徵於該第一接點及該第二接點間連續延伸。
[2] 如申請專利範圍第1項所述之熔絲裝置,其中位於各個該至少二鰭狀翼上方之磊晶半導體特徵相互合併以形成一連續磊晶特徵位於該至少二鰭狀翼上方。
[3] 如申請專利範圍第1項所述之熔絲裝置,其中該金屬-半導體合金特徵之寬度由至少二閘極結構所定義,各個該至少二閘極結構橫跨該至少二鰭狀翼。
[4] 如申請專利範圍第1項所述之熔絲裝置,其中該磊晶半導體特徵包括矽鍺化物。
[5] 一種積體電路裝置,包括:一熔絲裝置,其包括一第一接點及一第二接點連接一金屬-半導體合金層,其中該金屬-半導體合金層於該第一接點及該第二接點間連續延伸,其中該金屬-半導體合金層更位於一磊晶層上方,且該磊晶層位於一基板之鰭狀結構上方。
[6] 如申請專利範圍第5項所述之積體電路裝置,其中:該基板及該鰭狀結構包括矽;該磊晶層包括矽鍺化物;以及該金屬-半導體合金層包括一矽化物材料。
[7] 如申請專利範圍第5項所述之積體電路裝置,其中該磊晶層於該鰭狀結構之鰭狀翼間連續延伸。
[8] 如申請專利範圍第5項所述之積體電路裝置,其中:該金屬-半導體合金特徵具有一第一部份,其具有第一寬度,及一第二部份,其具有第二寬度,該第二寬度大於該第一寬度;該第一部份之第一寬度由該閘極結構所定義;以及該第一接點連接該第一部份,該第二接點連接該第二部份。
[9] 一種熔絲裝置的製作方法,包括:形成複數個鰭狀翼於一基板上;形成一磊晶層於上述各個該複數鰭狀翼上方;形成一金屬-半導體合金特徵於該磊晶層上方;以及形成一第一接點及一第二接點連接該金屬-半導體合金特徵,其中該金屬-半導體合金特徵在該第一接點及該第二接點間連續延伸。
[10] 如申請專利範圍第9項所述之熔絲裝置的製作方法,其中形成一磊晶層於該複數鰭狀翼上方包括磊晶成長一半導體材料於上述各個鰭狀翼上,直到上述各個鰭狀翼上之半導體材料相互合併以形成一連續磊晶層。
类似技术:
公开号 | 公开日 | 专利标题
TWI496266B|2015-08-11|熔絲裝置、積體電路裝置及製作方法
KR102026540B1|2019-09-27|반도체 디바이스용 자기 정렬형 구조체
US10937908B2|2021-03-02|Thin-sheet FinFET device
TWI475605B|2015-03-01|具有經均勻矽化之鰭狀端部的多閘極電晶體
TWI559548B|2016-11-21|在鰭式場效電晶體裝置上形成替代閘極結構和鰭部的方法及該產生的裝置
JP4795932B2|2011-10-19|トライゲート(tri−gate)およびゲートアラウンドMOSFETデバイス、およびこれらのMOSFETデバイスを製造する方法
TWI339406B|2011-03-21|Locally thinned fins
TWI511292B|2015-12-01|形成具有替代通道材料之鰭式場效電晶體設備的方法
TW201438239A|2014-10-01|形成鰭式場效電晶體半導體設備之低缺陷取代鰭部的方法及其所產生之設備
JP2007501524A|2007-01-25|全体的な設計目標を達成すべく、半導体デバイス中のキャリア移動度の可変な半導体デバイス
KR20150123691A|2015-11-04|Sram finfet 소자에 대한 구조물 및 방법
US20100065917A1|2010-03-18|Semiconductor device and method of manufacturing the same
US20150140799A1|2015-05-21|Asymmetric spacers
US10811321B2|2020-10-20|Semiconductor device with contracted isolation feature
TW201743432A|2017-12-16|半導體元件與其形成方法
US11063041B2|2021-07-13|Integrated circuit device including a power supply line and method of forming the same
US20210367053A1|2021-11-25|Semiconductor Devices
TW202032634A|2020-09-01|半導體裝置的製作方法
TW202020948A|2020-06-01|形成環繞閘極場效應電晶體的方法
US11031485B2|2021-06-08|Transistor with airgap spacer
TW201909420A|2019-03-01|半導體元件結構及其製造方法
US11217585B2|2022-01-04|Forming dielectric dummy fins with different heights in different regions of a semiconductor device
TW202147458A|2021-12-16|半導體裝置及其製造方法
CN113950741A|2022-01-18|半导体装置和制造半导体装置的方法
同族专利:
公开号 | 公开日
KR101381492B1|2014-04-04|
US20130105895A1|2013-05-02|
TWI496266B|2015-08-11|
US20150179524A1|2015-06-25|
US8969999B2|2015-03-03|
KR20130046338A|2013-05-07|
US9881837B2|2018-01-30|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
CN104779237A|2014-01-14|2015-07-15|美国博通公司|用于finfet技术的基于虚拟端栅极的抗熔丝器件|
TWI695476B|2016-02-25|2020-06-01|日商艾普凌科有限公司|半導體裝置以及熔絲的切斷方法|US6436738B1|2001-08-22|2002-08-20|Taiwan Semiconductor Manufacturing Company|Silicide agglomeration poly fuse device|
US7087499B2|2002-12-20|2006-08-08|International Business Machines Corporation|Integrated antifuse structure for FINFET and CMOS devices|
US6963114B2|2003-12-29|2005-11-08|Taiwan Semiconductor Manufacturing Company, Ltd.|SOI MOSFET with multi-sided source/drain silicide|
US7402855B2|2004-05-06|2008-07-22|Sidense Corp.|Split-channel antifuse array architecture|
US7662674B2|2005-05-20|2010-02-16|Intel Corporation|Methods of forming electromigration and thermal gradient based fuse structures|
US7190050B2|2005-07-01|2007-03-13|Synopsys, Inc.|Integrated circuit on corrugated substrate|
US20070029576A1|2005-08-03|2007-02-08|International Business Machines Corporation|Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same|
US20080067629A1|2006-08-17|2008-03-20|Toshiba America Electronic Components, Inc.|Electrical Fuse Having Resistor Materials Of Different Thermal Stability|
US8274132B2|2008-02-14|2012-09-25|Infineon Technologies Ag|Electrical device and fabrication method|
KR20090097466A|2008-03-11|2009-09-16|삼성전자주식회사|퓨즈 소자 및 그 동작방법|
US8030736B2|2009-08-10|2011-10-04|International Business Machines Corporation|Fin anti-fuse with reduced programming voltage|
US8264032B2|2009-09-01|2012-09-11|Taiwan Semiconductor Manufacturing Company, Ltd.|Accumulation type FinFET, circuits and fabrication method thereof|
US8729627B2|2010-05-14|2014-05-20|Taiwan Semiconductor Manufacturing Company, Ltd.|Strained channel integrated circuit devices|
CN104025293B|2011-10-18|2018-06-08|英特尔公司|利用非平面拓扑的反熔丝元件|
US8969999B2|2011-10-27|2015-03-03|Taiwan Semiconductor Manufacturing Company, Ltd.|Fin-like field effect transistor based, metal-semiconductor alloy fuse device and method of manufacturing same|
US8742457B2|2011-12-16|2014-06-03|Taiwan Semiconductor Manufacturing Company, Ltd.|Anti-fuses on semiconductor fins|US8969999B2|2011-10-27|2015-03-03|Taiwan Semiconductor Manufacturing Company, Ltd.|Fin-like field effect transistorbased, metal-semiconductor alloy fuse device and method of manufacturing same|
US9093453B2|2013-10-07|2015-07-28|International Business Machines Corporation|High performance e-fuse fabricated with sub-lithographic dimension|
KR102150869B1|2014-04-03|2020-09-02|삼성전자 주식회사|퓨즈 구조체 및 이를 포함하는 반도체 장치|
KR102191221B1|2014-09-23|2020-12-16|삼성전자주식회사|저항 소자 및 이를 포함하는 반도체 소자|
US9391201B2|2014-11-25|2016-07-12|Taiwan Semiconductor Manufacturing Company, Ltd.|Source/drain structure and manufacturing the same|
KR102150942B1|2014-12-01|2020-09-03|삼성전자주식회사|핀펫을 구비하는 반도체 장치|
US9263385B1|2015-01-05|2016-02-16|Globalfoundries Inc.|Semiconductor fuses and fabrication methods thereof|
US9293221B1|2015-02-26|2016-03-22|International Business Machines Corporation|Three terminal fuse with FinFET|
KR102317646B1|2015-04-14|2021-10-27|삼성전자주식회사|반도체 소자 및 이의 제조 방법|
KR20170041515A|2015-10-07|2017-04-17|삼성전자주식회사|집적회로 소자 및 그 제조 방법|
KR102323943B1|2015-10-21|2021-11-08|삼성전자주식회사|반도체 장치 제조 방법|
US9613899B1|2015-11-02|2017-04-04|International Business Machines Corporation|Epitaxial semiconductor fuse for FinFET structure|
US9754875B1|2016-07-20|2017-09-05|International Business Machines Corporation|Designable channel FinFET fuse|
US9929091B2|2016-08-25|2018-03-27|International Business Machines Corporation|Vertical fuse structures|
CN107785420B|2016-08-29|2020-05-08|中芯国际集成电路制造有限公司|半导体器件及其制造方法|
WO2018182636A1|2017-03-30|2018-10-04|Intel Corporation|Integrated fuse in local interconnect and techniques for forming|
KR20200017100A|2018-08-08|2020-02-18|삼성전자주식회사|저항 구조물을 구비하는 반도체 소자|
法律状态:
优先权:
申请号 | 申请日 | 专利标题
US13/283,127|US8969999B2|2011-10-27|2011-10-27|Fin-like field effect transistorbased, metal-semiconductor alloy fuse device and method of manufacturing same|
[返回顶部]